上海贝岭-半导体 广告 智能家居技术创新峰会4 广告 2024春季电机产业链交流会4 广告 2024电子热点解决方案创新峰会4 广告

采用FPGAs的嵌入式技术遇到互连性问题挑战

2007-03-09 15:04:16 来源:大比特资讯
LATTICE公司推出了采用最新硅半导体工艺的MACO,并在这款产品中加入了采用90nm工艺结构化的ASIC,这使得其具有FPGA+SEADERS平台的功能。MACO是面向工业标准的IP核的,如存储控制器,SPI4.2,MAC等。
在通信和网络设备领域,设计人员往往要面对许多竞争压力,如不断缩小产品面市时间、不断提高的产品带宽、与新协议的兼容以及降低成本等。同时设计师有更多可选择的器件,他们可以选择一些作为专用标准产品(ASSP)以丰富他们的产品。在过去20年中,该领域变化迅速,但是FPGA始终仅用于解决不同接口的互连性问题。
过去,仅有少量I/O口、几百逻辑门的FPGA只能用于实现简单的I/O桥接、解码工作。而今天,拥有将近1000个I/ O管脚,集成度达数百万门的FPGA可以实现芯片间复杂的通信协议互连与背板应用等。此外,人们还进一步期望FPGA能实现与数据包和单元协议相关的协议栈等更高层次的应用。Lattice半导体公司意识到了通信市场中带宽需求、面市时间和协议兼容等方面的巨大压力,并推出了一种新的硅半导体技术——LatticeSCM,来迎接下一代系统的挑战。
图1概述了一个典型的通信线卡上不同层次的FPGA所起的功能。总的来说,因为这些基本功能,FPGA在电信、数据通信和存储器等领域得到了广泛应用。在这些领域中,FPGA在数据链路上可以通过工业标准接口与ASSP相接;在控制面板上,可以与存储器、驱动背板等连接。Lattice公司推出的采用MACO结构的SC系列 FPGA就是来解决存在于当今电信、通信和存储器市场的互连性问题的。
LatticeSCM系列FPGA采用了90nm的制造技术,是业界最高性能的FPGA之一。该产品具有以下多种特点:高性能的FPGA架构;3.4Gbit/s的串联/解串器(SERDES)(单个器件最多达32位);先进的带专用逻辑(连接层)的物理编码子层,可支持PCI接口、SRIO、SPI4.2、SFI-4、以太网和光纤通道;高性能单端差分I/Os;高达7.8Mbit的嵌入式存储器以及作为“烧录前”(re-engineered)接口的嵌入式MACO模块。
LatticeSCM FPGA采用可编程逻辑单元排列的规则阵列版图设计。它内嵌的嵌入式SERDES通道(连接着嵌入式多目标物理编码子层(PCS)模块),用以处理高速串行数据传输。为了有效地连接到可编程功能单元(PFU),内嵌的嵌入式RAM模块(EBR)的行阵列间隔分布于整个FPGA阵列里。LatticeSCM FPGA内嵌的RAM模块行阵列的每个末端都包含一个“结构化ASIC”模块,从而使设计人员可以在该系列FPGA上进行更灵活的编程。这些模块被称作MACO模块(成本最优掩膜排列)。为满足当今通信系统的高速要求,这些逻辑模块已被“硬连接(hardwired)”,因此不再具有可编程性。这些技术减少了设计工程师的工作量,使他们可以将精力集中在自己课题的系统方案上。图2为一简单的图示。
如果要对 LatticeSCM系列FPGA 有一个综合性的认识,请参考Lattice半导体公司的网页www.latticesemi.com 上的 LatticeSCM 数据文档。前期的LatticeSCM器件将提供基于硬件IP前设计的业界标准接口。第一个MACO模块包含存储控制器、SPI4.2接口以及可以支持GbE、10GbE和PCI Express协议的灵活的MAC模块。
下面对如图2所示的标准ASIC MACO模块进行简要的分析。MACO技术支持当前应用于各种通信系统的主流调整存储标准单元,如DDR fi SDRAM,QDR fi SRAM,以及RLRAM fi。,LatticeSCM利用MACO技术提供了高速的存储器控制器。如果将MACO应用于查找表结构,则需要利用大量的资源以及严格的时序控制。
最初的LatticeSCM会整合一到两个应用于MACO中的SPI4.2核。每一个都和OIFSPI4-02.0规格完全兼容。通过包含带内端口地址的16位数据收/发通道,它还可以提供高达256个逻辑端口,SOP,EOP指示和误差控制。每一个SPI4.2 MACO 核直接与LatticeSCM 低电压差分(LVDS) I/O端口相连接,LatticeSCM LVDS I/O端口最少可以提供311MHz的双边沿同步时钟源,可以工作于静态或者动态的调整模式。利用动态和静态的相位校准,核可以分别支持高达1Gbit/s和700MHzbit/s的数据流量。SPI4.2 MACO 核通过128门用户可访问数据路径与FPGA相连。只使用了1500个FPGG查找表(LUT)和10个内嵌RAM模块(EBR)来缓冲FIFO和状态路径管理。
 由于FlexiMAC提供了灵活的信息并且人们可以对它进行分析,因此FlexiMAC可以针对各种不同的标准实现第二层(数据连接层或者介质访问控制层)的功能。利用MACO技术实现的FlexiMAC功能可以对LatticeSCM 的串并转换器和第一层(物理层)中物理编码子层(PCS)的多协议功能进行很好的补充和完善。这些可以作为面向1G/10G以太网的完整的第一层/第二层解决方案,并且对客户提供集成的1GE/10GE方案,而且不会用光昂贵的FPGA门。
 MACO有很多优势:速度,密度,低功耗。MACO是用90nm的ASIC实现的,基于SRAM的查表体系的性能提升将会超过100%。一个简单的针对SC FPGA 构造SC MACO 技术的32位CRC(循环冗余校验)设计就可以将这项优点表现的淋漓尽致。
在以太网—同步光纤网桥接电路的设计中利用包含SPI4.2接口的FPGA可以体现出MACO在面积上的优势。上述应用的模块框图如图3所示。FPGA被应用于接口桥的管理,包括流程控制和信息包的缓冲,在缓冲中通常需要一个存储器控制器和外部的缓冲器。如果SPI4.2接口是利用FPGA门阵列的软IP核来实现的话,那么这个设计就会变得复杂很多,并且可能需要一个很大的FPGA器件。Lattice研究组发现一个MACO相当于5000个查找表(LUT)。在实现相同功能的前提下,一个MACO只占使用LUT的FPGA10%的面积。在一个器件中利用多个MACO模块,可以减少研发费用并节约大量的面积,进而节约大量的成本。
为了比较功耗的优势,我们继续利用一个SPI4.2来举例。LatticeSCM的Lattice SPI4.2方案利用了MACO技术作为SPI4.2核的主要部分。LFSC FPGA结构只使用了1500个LUT和10个EBR来实现FIFO和SPI4.2状态路径。这个数量比单纯使用FPGA门来实现SPI4.2核的方案节约了很多资源。比较可得:LatticeSCM通过利用MACO技术大大降低了功耗。事实上,同样的功耗水平下,也可以通过一个LatticeSC FPGA实现四个SPI4.2核,但是一个SPI4.2核就需要若干个FPGA来实现,而且这时信息包的密度就成为考虑的重点了。
本文为哔哥哔特资讯原创文章,未经允许和授权,不得转载,否则将严格追究法律责任;
Big-Bit 商务网

请使用微信扫码登陆

x
凌鸥学园天地 广告